CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA LCD

搜索资源列表

  1. LCD.基于FPGA的LCD1602驱动

    1下载:
  2. 基于FPGA的LCD1602驱动,verilog代码,已经调试成功,LCD1602-driven FPGA-based, verilog code debugging has been successful
  3. 所属分类:其他小程序

    • 发布日期:2016-11-08
    • 文件大小:1105323
    • 提供者:liang ming
  1. lcd_controler.rar

    0下载:
  2. 用FPGA设计12832中文液晶控制器,采用状态机的方式,提高稳定性!,FPGA cyclone control 12832LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:703531
    • 提供者:随风
  1. tftlcd.zip

    0下载:
  2. TFT LCD controller verilog code using ALTERA FPGA.,TFT LCD controller verilog code using ALTERA FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:498358
    • 提供者:neorome
  1. Libra_ps2key_lcd.rar

    0下载:
  2. 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。,Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:5877
    • 提供者:赵二虎
  1. EP1C3

    0下载:
  2. fpga LCD 最小系统开发板原理图还内存与SRAM-fpga LCD development board schematics minimum system memory and SRAM is also
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:139402
    • 提供者:bisedeng
  1. ACTEL-FPGA-1602(Verilog)

    0下载:
  2. 1602液晶显示程序,用verilog写的!-1602 LCD program, written using verilog!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4248
    • 提供者:wns
  1. FPGA

    0下载:
  2. 基于FPGA芯片控制全彩LED大屏幕图像显示系统系统设计随着数字技术的飞速发展,各种数字显示屏也随即涌现出来有LED、LCD、DLP等,各种数字大屏幕的控制系统多种多样,有用ARM+FPGA脱机控制系统,也有用PC+DVI接口解码芯片+FPGA芯片联机控制系统,在这里我们讲述一种不仅可以用于控制全彩LED大屏幕的显示,而且还可以作为发送端输出高清图像数据。采用的联机控制系统对全彩LED大屏幕进行控制。即PC+DVI接口解码芯片+FPGA芯片+输出接口模式的联机控制系统-FPGA-based fu
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:833305
    • 提供者:打狗队
  1. LCD

    0下载:
  2. LCD显示实验。要求熟悉LCD显示的驱动原理,在实验板的LCD显示屏上显示“FPGA”,并且尝试任意字符的显示方法,动态显示的设置。-LCD display experiment. Requirements are familiar with LCD display drive principle, the experiment LCD panels display FPGA , and try any of the characters display methods, dynamic di
  3. 所属分类:Compiler program

    • 发布日期:2017-03-26
    • 文件大小:4971
    • 提供者:宁冰旭
  1. Lcd-12864

    0下载:
  2. 这是一个用ALTER公司FPGA控制外部128×64液晶的程序,很实用,希望大家下载!-This is a company with FPGA control ALTER external 128 × 64 LCD procedures, it is useful, I hope you download!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2652487
    • 提供者:裴跃生
  1. lcd

    0下载:
  2. 用sopc技术实现对128*64的lcd液晶显示。这里是它的程序。 -Sopc technology used for implementation of 128* 64 LCD lcd. Here is the procedure.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1074
    • 提供者:zlw
  1. FPGA-LCD

    0下载:
  2. 基于FPGA的LCD&VGA控制器设计 字数不够-FPGA-based controller design for LCD & VGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:72543
    • 提供者:may
  1. lcd

    0下载:
  2. fpga控制的lcd c语言程序,该lcd是12864型的,很有用-fpga control lcd c language program, the lcd is a 12864-type, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3046
    • 提供者:黄德文
  1. 1602_jp

    0下载:
  2. FPGA lcd显示程序,可以扫描键盘输入,并在lcd上显示,-FPGA lcd display program, you can scan the keyboard input and display in lcd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:478211
    • 提供者:zdy
  1. FPGA-LCD

    0下载:
  2. VHDL文章:以FPGA为核心的液晶显示电路设计与实现-VHDL article: The FPGA as the core liquid crystal display circuit design and implementation of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:245107
    • 提供者:王恒毅
  1. LCD-VHDL-

    0下载:
  2. LCD控制VHDL程序与仿真 以FPGA驱动LCD显示中文字符“年”程序为例-LCD control and simulation of VHDL program to FPGA-driven LCD display Chinese characters " year" program as an example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4703
    • 提供者:qingyin
  1. lcd

    0下载:
  2. FPGA控制lcd1602(verilog)-FPGA control lcd1602 (verilog)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1037
    • 提供者:Along
  1. LCD-DISPLAY

    0下载:
  2. FPGA的程序可以实现频率在LCD上显示的功能,VHDL代码编写的-The frequency of FPGA program can be displayed on the LCD functions, VHDL code is written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2286995
    • 提供者:赵擎天
  1. FPGA

    0下载:
  2. 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13878565
    • 提供者:huizeng
  1. FPGA-LCD

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,PDF格式-LCD controller based on FPGA design, PDF format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3516471
    • 提供者:秋予
  1. lcd_test

    1下载:
  2. FPGA LCD 显示例程,在AN430的LCD模块上配备一个4.3英寸的天马 TFT-LCD模块(TM043NBH02),显示像 素为480 X 272,包含 LED 背光单元,采用真彩色24位的并行RGB接口和开发板连接(FPGA LCD display routines, equipped with a 4.3 inch AN430 module (TM043NBH02) on the LCD module of the TFT-LCD, displaying images.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-11-30
    • 文件大小:802816
    • 提供者:ccclq
« 12 3 4 5 6 7 8 9 10 ... 25 »
搜珍网 www.dssz.com